lkml.org 
[lkml]   [2015]   [Apr]   [23]   [last100]   RSS Feed
Views: [wrap][no wrap]   [headers]  [forward] 
 
Messages in this thread
/
SubjectRe: [PATCH 6/6] crypto: add jitterentropy RNG
From
Date
On Thu, 2015-04-23 at 16:08 +0200, Stephan Mueller wrote:
> Other patches that are in the kernel that I wrote (e.g.
> the crypto/drbg.c) have the same license as above, but use
> MODULE_LICENSE("GPL") -- Thus I would think that leaving it as is should be
> ok.

Why not submit the trivial patches to make the license comment and the
MODULE_LICENSE() macro match in those files too?

Thanks,


Paul Bolle



\
 
 \ /
  Last update: 2015-04-23 17:01    [W:0.031 / U:0.644 seconds]
©2003-2020 Jasper Spaans|hosted at Digital Ocean and TransIP|Read the blog|Advertise on this site